欢迎光临~bob手机版官网备用网址

新闻中心

iPhone 17重磅曝光:苹果神秘机型浮出水面!

发布时间:2024-08-02 18:21:59   来源:bob手机版官网备用网址

  16 都还没有出,但不影响 iPhone 17 系列和 iPhone 18 的相关配置信息也已曝光。据爆料显示,一张 2025 年新机的详细配置图片在网络上流传,最重要的包含 iPhone 17 系列四款和 iPhone SE 4。

  那么,今天下面我们就来好好聊聊苹果公司明年要发布的新机--iPhone 17。

  这4款机型的屏幕尺寸分别是6.27英寸、6.27英寸、6.86英寸和6.65英寸,所有机型全部标配LTPO面板,支持ProMotion可变刷新率,这是苹果史上第一次在标准版机型上支持高刷新率。

  标准版机型上将采用与ProMotion相同的技术,即根据屏幕显示内容自动切换刷新率,并非从始至终保持120Hz的刷新率,以此来降低功耗。

  举例来说,当屏幕页面静止时,刷新率可降至10Hz;而观看24fps(帧/秒)的电影时,屏幕刷新率为24Hz,与视频顿率一致,以获得最佳动态效果,并且更加省电,同时也不会影响用户体验。

  对于这次升级高刷一事,我认为是新iPhone必然要做的事情了。不论是为了差异化还是为降低成本,在2024年仍然推出60Hz刷新率的手机并不妥当。虽然我们大家可以认为苹果在其他硬件性能上遥遥领先于同一价位的产品,并不是特别需要高刷功能也能够完全满足很多用户需求。

  然而相信许多用过高刷屏手机的人都会发现:再使用回60Hz屏幕设备时,能明显感受到体验的下降。因此,高刷已然成为现今智能手机不可或缺的功能之一。

  另外,iPhone 17将会搭载A19芯片,这颗芯片仍然采用台积电3nm制程,而不是2nm。

  业内人士曾经透露,iPhone 17将接着使用台积电的3nm工艺,2nm工艺要到2025年底才会量产,所以iPhone 17肯定赶不上。

  值得一提的是,iPhone 17 系列还将推出一个新型号,是传闻中的更薄的版本。据说该型号配备 6.65 英寸 LTPO 显示屏,售价 1,299 美元起。这可能是传闻了几代人的 Ultra 型号。

  这不,“Ultra”型号可能会成为苹果iPhone 17系列的一个独特型号。这个传说已经存在了几代人了,并且现在似乎慢慢的变成了现实。据报道,iPhone 17 Ultra将会采用与iPhone 17 Pro相同的设计风格,并搭载与之相同的硬件配置。

  顺便一提的是,iPhone 17 Slim基本能确定的是屏幕尺寸一定不会太小,外媒给出的消息是这款新机型的尺寸介于Pro和Pro Max之间,也就是6.3英寸至6.9英寸之间,而屏幕边框也会和iPhone 16 Pro系列一样,选择极窄化处理。为了极致轻薄,苹果会考虑选择一种新型材料背板,使其可靠性和厚度之间得到平衡。

  这样一来,iPhone 17 Slim的整机厚度能做到约7.8mm或更薄,远比当前在售的iPhone要趁手。但代价也很明显,电池容量会和标准款iPhone相近、支持的充电功率也会更加保守,游戏性能大打折扣。

  更重要的是,在此前公开的一份报告中透露,iPhone 17 Slim的定价要比Pro Max更高,起售价为1200美元,约合人民币8707元。

  此外呢,据苹果公司分析师郭明錤(Ming-Chi Kuo)称,明年的 iPhone 17 Pro Max 将配备升级的 4800 万像素四棱镜摄像头,以提高照片质量和变焦功能。

  爆料指出,今年下半年登场的iPhone 16 Pro和iPhone 16 Pro Max将配备1200万像素潜望长焦,支持5倍光学变焦,规格跟iPhone 15 Pro Max保持一致。明年亮相的iPhone 17 Pro Max将升级为4800万像素潜望长焦,而且传感器尺寸由1/3.1英寸升级为1/2.6英寸,感光能力和变焦表现将会促进提升。

  届时,iPhone 17 Pro Max的主摄、超广角和长焦都全部告别1200万像素时代,而且苹果会对这款旗舰的影像进行深度优化,以便更方便地跟Vision Pro头显进行跨屏协同。

  值得注意的是,苹果在正式对外发布之前不会公布相关消息,因此目前我们只可以依靠分析师的预期来猜测这些新功能是否会出现在iPhone 17上。不过考虑到郭明錤在苹果产品预测方面具备极高准确性,我们大家可以推测这些说法并非空穴来风。

  除此之外,在苹果已推出的iPhone中,前置摄像头通常是多年升级一次。从iPhone 6s系列开始,前置摄像头一直是500万像素;而在iPhone 7系列之后,则从始至终保持在700万像素;从 iPhone 11 系列开始,前置摄像头都是1200万像素,并且去年新推出的 iPhone 15 系列仍然使用1200万像素前置摄像头。

  还有科技博主爆料,iPhone 17将采用一种全新的显示屏技术,屏幕覆盖一层极其耐用的AR涂层,这种屏幕比现有的“超瓷晶面板”更加坚固耐用。苹果已经花数十亿美元从日本进口了一种镀膜设备,用于iPhone新型显示屏的材料。

  通俗来说,以后iPhone 17可能都不需要贴膜了,因为这种科技能有效防刮,还能显著减少屏幕反光。

  再有,对于追求极致网络体验的用户来说,iPhone 17 Pro有望配备自主研发的Wi-Fi7芯片,这将极大的提升数据传输效率,无论是上网冲浪还是在线游戏,都能享受到前所未有的极致体验。

  ?最后,据苹果分析师郭明錤一手消息,苹果公司再次推迟了在iPhone 中采用新型树脂涂覆铜箔(RCC)组件的计划。这种能节约内部空间的组件原本计划用于 iPhone 16,随后推迟到 iPhone 17,如今又再度推迟。

  明美无限注意到,郭明錤去年十月曾指出,RCC 可以减薄主板厚度,节省内部空间,且由于不含玻璃纤维,钻孔过程更容易。然而,苹果及其供应商在使用 RCC 方面一直面临挑战,还在于耐久性和脆弱性问题,这也是导致此次延期的原因。

  好了,如果大家对于苹果公司明年要发布的iPhone 17最新曝光还有什么想要说的,不妨在评论区留言给明美无限参与一起讨论吧!

  2024中国国际音频产业大会 ∣ “可靠性生产力”加速视听产业智能化升级